• Tecnología
  • Equipo eléctrico
  • Industria de materiales
  • vida digital
  • política de privacidad
  • oh nombre
Localización: Hogar / Tecnología / La estrategia de los tragos de Intel para recuperar la Corona de la tecnología de procesos para 2025

La estrategia de los tragos de Intel para recuperar la Corona de la tecnología de procesos para 2025

techserving |
1095

Intel está corriendo para recuperar su equilibrio como el líder incuestionable en la tecnología de fabricación de chips para 2025.

La compañía con sede en Santa Clara, California, lanzó recientemente una de sus hojas de ruta más ambiciosas en años, llenas de nuevas tecnologías de procesos y empaquetado que Intel argumenta cerrará la brecha de rendimiento con los rivales para 2024 y le dará a los procesos de liderazgo de tecnología para 2025 para 2025.El objetivo es lanzar una nueva generación de procesadores, y un nuevo nodo de proceso para producirlo en masa, cada año hasta 2025.

Cada generación de procesadores se basará en transistores más avanzados que los últimos.Intel dijo que está trayendo características al pliegue en cada etapa de la hoja de ruta, incluida una nueva arquitectura de transistores llamada Ribbonfet para 2024 y un nuevo sistema de interconexión llamado Powervia el mismo año.También está ampliando el uso de litografía EUV en cada nodo y planea vencer a sus competidores de la puerta con "High-NA" EUV.

La compañía reveló el nuevo proceso y la hoja de ruta de empaque en su evento "Intel Acelerated" el mes pasado.

Intel ha salido del lugar de liderazgo en la industria de semiconductores en los últimos años.Detrás de Intel’s Woes se encuentra los pasos en falso de la fabricación que lo obligaron a retrasar sus procesadores más avanzados para los centros de datos y las computadoras personales.En febrero, Intel contrató a su ex director de tecnología Pat Gelsinger como CEO para revitalizar su proceso de desarrollo de chips y recuperar el terreno entregado a una creciente multitud de rivales.

Debido a que arrastró el desarrollo de su nodo de 10 nm durante años, Intel cayó detrás de su capacidad para producir en masa las chips más avanzadas.TSMC lo ha vencido al mercado más amplio con su nodo de 7 nm y luego se adelantó a otra generación con su proceso de 5 nm, que primero fue adoptado por Apple.TSMC es la fundición de chips más grande del mundo con más de 500 clientes, incluidos muchos de los principales rivales de Intel.

Los retrasos prolongados han abierto la puerta para una creciente horda de rivales, incluidos AMD y ARM a Dent Intel, el dominio de las computadoras y centros de datos personales.El colapso de Intel ha planteado preguntas sobre si expandiría su uso de fundiciones, o desmantele sus operaciones de fabricación a favor de la externalización de más de su producción, para evitar que se quede más atrás de los rivales y pierda más órdenes de Apple y AWS.

Pero Intel duplicó su negocio de fabricación con el anuncio de su IDM 2.0 Estrategia, que verá al gigante de Silicon Valley mantendrá la mayor parte de su producción en la casa e invertiría decenas de miles de millones de dólares en sus fabricantes de semiconductores.

Uno de los pilares del plan de respuesta es ampliar sus operaciones de fabricación, comenzando con $ 20 mil millones para construir su U.S.Fabs, dando a la compañía la capacidad de satisfacer sus propias necesidades y producir chips en masa bajo contrato para otras empresas e incluso rivales.Intel también formó una unidad de negocios de fundición, llamada IFS, y observando un aumento de su uso de fundición, incluso para algunos de sus procesadores más avanzados a partir de 2023.


Pero en el corazón de la estrategia se encuentra una reconstrucción agresiva de la destreza de fabricación de Intel."Sobre la base de el liderazgo de Intel en el envasado avanzado, estamos acelerando nuestra hoja de ruta de Innovation para asegurarnos de que estamos en un camino claro para procesar el rendimiento para 2025", dijo Gelsinger."Seremos implacables en nuestra búsqueda de la ley de Moore."

Intel también está ajustando la nomenclatura del nodo basada en el entonces..La compañía cambiará el nombre de sus nodos de proceso para alinearse mejor con los estándares de Industria..

Hoy, los procesadores más avanzados se reducen hasta decenas de miles de millones de transistores que sirven como pequeños controladores electrónicos controlan el flujo de corriente.Cada transistor contiene un montón de puerta en la parte superior del canal que la corriente viaja, unir los lados de "fuente" y "drenaje" de un canal.Se utiliza una puerta para controlar la corriente que atraviesa el canal y determina si el transistor está en un estado de "encendido" o "apagado".

Durante años, la puerta fue la dimensión más importante para determinar el rendimiento de un transistor, y luego el número de un nodo de proceso correspondió a las dimensiones de la puerta o otras partes de la anatomía del transistor.A pesar de que Intel ha continuado con nodos en función del nanómetro, los nombres ya no se refieren al ancho de longitud de la puerta o ninguno de los otros componentes internos del transistor.

Hoy, la densidad del transistor es temétrica utilizada por expertos para comparar diferentes nodos.Intel ha largado su tecnología de proceso puede igualar o superar el rendimiento de los nodos numerados de la misma manera de TSMC y otros rivales en términos de la densidad de transistores que pueden caber en un cuadrado de silicio.Según los analistas de la industria, el nodo de 10 nm de Intel está aproximadamente a la par con el proceso de 7 nm de TSMC en la densidad de transistores.

Intel dijo que el nuevo formato de nombres de nodos brindará más claridad a los clientes, incluidos los clientes potenciales de su negocio de fondos, y les ayude a comprender cómo el nuevo proceso TechnologiesStack contra nodos rivales.Ann Kelleher, el desarrollo de la tecnología svp de Intel, dijo que los nuevos nombres dan más peso a las métricas como el rendimiento, la eficiencia energética y el área al tiempo que se tiene en cuenta la transistordensidad.


La nueva hoja de ruta atraviesa los nodos de cuatro technología, Intel planea implementarse después de su nodo "Superfin" de 10 nm, que se utiliza en su nueva generación de procesadores móviles, código llamado "Tiger Lake", introducido en 2020.

Intel Plots Strategy to Retake Process Technology Crown by 2025

"Intel 7" es lo que la compañía Plansto llama su proceso de "superfina mejorada" de 10 nm, que se utilizará para lanzar procesadores de computadora personal "Alder Lake" en la segunda mitad de 2021 y "Sapphire Rapids" para centros de datos para centros de datos, que están en ritmo de estar en producción en el primer trimestre de 2022.Intel mejoró los transistores FINFET para generar un aumento del 10% al 15% en el rendimiento por vatio sobre su nodo Superfin anterior.

Intel dijo que las CPU de "Alder Lake" son de forma correcta en la producción de masa con el nodo superfin mejorado, que se presenta la ley de rendimiento de Moore del nodo de 10 nm para justificar usando el nombre "Intel7".

"Intel 4" es el TechnologyNode anteriormente conocido como el proceso de 7 nm, que Intel fue infamemente obligado a salir a 2023 debido a defectos en el proceso de producción.La compañía previamente considera que sus futuras CPU de "Meteor Lake" se ensamblarían con mosaicos de cómputo basados en el nodo de 7 nm.El nodo aprovecharía al máximo el EUV para imprimir transistores más pequeños en obleas de silicio que usan longitudes de onda de luz ultra cortas, y en lejos eso reduce el riesgo de imperfecciones que pueden arruinar el producto final, mejorando los rendimientos.

Intel dijo que el nodo tendría un 20%más de rendimiento por vatio que su predecesor en la hoja de ruta, así como las mejoras en el área..Según la compañía, la Segunda de 2022 lo estaría listo para que los chips comenzaran a enviarse en 2023, incluidas sus CPU "Meteorlake" para el mercado de computadora personal y sus CPU "Granite Rapids" para los centros de datos de datos..Los chips de prueba están actualmente en el laboratorio.

"Intel 3" es lo que Intel previamente llamó su nodo de 7 nm+, su nodo tecnológico final basado en transistores FINFET.Intel dijo que comenzaría a implementar chips basados en el nodo por el segundo de 2023, entregando alrededor de un 18% mejor rendimiento por vatio.El nodo "Intel 3" trae tecnología de transistores más avanzada a TheLoD y una biblioteca de alto rendimiento para ayudar en la escala de área.Intel también está expandiendo el uso del nodo de equipos EUV del nodo.

Intel se apoya en sus 2.Arsenal de envasado avanzado 5D y 3D para ayudar a cerrar su brecha de rendimiento con rivales.Italso introdujo nuevas versiones de su conjunto de tecnología de apilamiento de chips 3D Foveros, formass de formass para 2023.


La parada final en la nueva hoja de ruta es el nodo "Intel 20A", que el proveedor dijo que abriría la puerta para la "era de Angstrom" cuando se usa para fabricar chips a principios de 2024.El "A" en el nodo representa "Angstrom", o el decimotercero de un nanómetro.Anteriormente conocido como el nodo de 5 nm, Intel dijo que 20A obtendría la ventaja de sus tecnologías de Ribbonfet y Powervia para llevarlo a "paridad" con futuros nodos de TSMC y Samsung.

El Ribbonfet es la primera arquitectura de Newtransistor de Intel desde que presentó el Finfet hace una década.Un finfetis formado aplicando una brida de silicio en forma de aleta para conectar los lados de fuente y el drenaje del canal en el transistor.La puerta, la región que determina si el transistor está encendido o apagado, está cubierto sobre la aleta, lo rodea en tres lados.Esta arquitectura ayuda a reducir la fuga de energía del transistor.Estos pequeños interruptores pueden encenderse y apagarse más rápido y desperdiciar menos potencia que los transistores planos.

El Finfet ha sido los chips de caballo de batalla durante la última década de la ley de Moore.El Ribbonfet y otros transistores de puerta de puerta prometen meter más rendimiento en un área más pequeña sin enviar requisitos de energía a través del techo.En Ribbonfets, la aleta se voltea de lado para que la puerta rodee el canal en forma de cinta en todos los lados, evitando que se filtre una potencia adicional.Intel dijo que este tipo de transistores ofrecen velocidades de conmutación más rápidas en las mismas aletas de asmultiple de corriente de transmisión en una huella más pequeña.

Intel dijo que Powervia es el primer sistema de "entrega de potencia trasera".Hoy, los chips lógicos avanzados de Themost contienen miles de millones de transistores cubiertos por una canopía en capas de interconexiones de diferentes dimensiones que suministran señales y entregan potencia entre ellos.Las interconexiones se forman talando pequeñas trincheras de una oblea, cubriéndolas con cobalto y otros metales, y los conectan con cables de cobre.

El problema Intel está intentando la gotera con Powervia es que los cables de transmisión de señales y energía se enredan en la misma pila de interconexiones.Pero con la tecnología PowerVia, los cables que envían energía alrededor del chip se colocan detrás de los transistores en la parte trasera de la oblea.Por lo tanto, el poder ahora se puede entregar directamente a los transistores en lugar de viajar una distancia más larga a través de pisos y pisos de interconexiones.


Al reubicar estos cables al otro lado de la oblea, la tecnología Powervia libera los cables en la parte delantera de la oblea para mejorar el enrutamiento de la señal.Los resultados son una mejor eficiencia de potencia y frecuencias más altas.

El gigante de los semiconductores también es temprano en el desarrollo del nodo de proceso "18A", que está dirigido a la producción en la primera mitad de 2025.Intel dijo que planea mejorar los transistores de Ribbonfet en el corazón del nodo de proceso, lo que resulta en otro salto importante en el rendimiento.Intel dijo que el nodo 18A está en camino de ser más avanzado que los nodos futuros de TSMC, devolviéndolo a procesar el liderazgo de tecnología.

Intel también se ha asociado con el proveedor de equipos de semiconductor ASML sobre el desarrollo de nuevas herramientas EUV de alta capacidad de numerical (NA) que pueden quemar transistores más pequeños en chips más rápido y más eficiente que la tecnología EUV en uso hoy en día.Intel dijo que ITEXPECTS para obtener la primera herramienta EUV de alta producción en la industria y usar sus líneas de producción para 2025 o posterior.

A pesar del ambicioso ritmo de su nueva hoja de ruta de tecnología, Intel está jugando al alcance.TSMC ha comenzado a suministrar chips basados en su tecnología de 5 nm, mientras que algunos clientes están en desarrollo temprano con chips basados en su nodo de 3 nm.Eso significa que AMD, NVIDIA, Qualcomm, Xilinx y otras compañías de chips pueden continuar ordenando chips que sean moreadvanced que de Intel, y deberían poder durante al menos tres años más.

Obviamente, a medida que la creación de chips se vuelve más costosa y tecnológicamente difícil, la nueva hoja de ruta de Intel no está garantizada.

Pero la industria de la tecnología aún no cuenta con Intel.La compañía dijo que ha conseguido Qualcomm como su primer cliente de Foundry para el nodo 20A que saldrá en 2024.También anunció que Thatamazon AWS acordó adoptar la tecnología de embalaje avanzada Intel planea hacer abrir a los clientes de su nuevo negocio de chips hecho a pedido.